Semiconductor equipment industry mergers and acquisitions

Semiconductor equipment industry mergers and acquisitions In 2011, the global semiconductor industry experienced another turbulence. The 311 earthquake in Japan and the floods in Thailand, coupled with the weakening of the global economy, have once again cast a shadow over the not-so-high global consumer electronics market. As a result, the global semiconductor industry showed an unconventional trend in the first half of the year in the first half of 2011 (usually better than the first half in the second half). It is estimated that semiconductor growth will be around 1% in 2011, but sales have begun to leap over the $300 billion mark.

What will happen in 2012? As the outlook for the European debt crisis is not yet clear, most analysts are afraid to speak up. However, facing the severe situation of the three major industries of semiconductors, panels and solar energy in 2012, the industry believes that the fastest recovery of the economy will be in the second half of 2012, when the semiconductor industry will first leaps, and the greatest support will be driven by demand from the mobile communications application market. . The industry has boldly estimated that by the year 2015, if it is denominated in US dollars, the total contribution of smartphones, tablets, and solid-state drives (SSDs) in the future will contribute 77% to the growth of the semiconductor market.

We seem to see a glimmer of hope in the fog that 2012 will certainly be better than 2011, the global semiconductor industry will have single-digit growth, such as Gartner expects a 2.2% increase, WSTS is expected to grow 2.6%, iSupplies and IC Insight forecasts are 3.2% and 7%, respectively.

Facing the new industrial situation and increasingly severe challenges, the semiconductor equipment industry clearly recognizes that it is necessary to adopt mergers and acquisitions to break the status quo.

Environmental changes make the industry difficult to survive Under the above new industrial environment, the semiconductor equipment industry is very difficult to survive. Companies such as Applied Materials have extended their product areas to include panels, photovoltaics and LEDs.

Since the semi-conductor equipment industry was separated from the IDM industry in the late 1960s, its ups and downs have been affecting the development of the semiconductor industry because it is in the upstream of the industrial chain. The industry admitted that "a generation of equipment, a generation of technology, only a generation of devices." Almost 70% of the investment in the semiconductor industry is used to purchase equipment, so the semiconductor industry has the title of “swallowing the beast”. The industry sometimes complains that the price of semiconductor equipment is too high, but ultimately it can accept it, because the current equipment is not only a hardware, but also includes technology, that is, after the purchase of equipment, it can be guaranteed to achieve certain types of processes. On the other hand, the high price of semiconductor equipment is inseparable from its R&D investment and talent input.

The global semiconductor industry has entered a new era since it entered 32nm in 2009. From the equipment industry point of view, its characteristics can be summarized as follows: First, the research and development costs of the process are high, and the cost of semiconductor construction has skyrocketed. As a result, the number of manufacturers that can continue to track the world is decreasing. It is estimated at 22nm and below. There are only 10 customers in the world. The second is 193nm lithography. Even with immersion technology, it has reached the limit at 28nm. After using two integrated technologies such as graphic exposure, it only extends to 22/20nm. It is estimated that the future 14nm will be a ridge. When the size goes down, new lithography techniques such as EUV and electron beam writing must be used. Although the two graphic exposure technologies have the ability to continue to extend Moore's Law, they will bring about a rapid increase in the cost of lithography, leading to the progress of process technology in the future will be largely limited by economic factors. The EUV, a next-generation lithography technology that is also favored by the industry, has to be willing to accept the high product prices due to its high price. As a result, the equipment industry is generally facing a trend of reduced investment. Third, although the power of the silicon wafer diameter transition to 450mm exists, it is still insufficient. Currently, only Intel and TSMC have announced the 450mm plans worldwide. The main reason is that equipment manufacturers are not willing to invest more in 450mm equipment. A total of approximately US$20 billion is required to develop a 450mm device, and future returns may be problematic.

However, in the analysis of the semiconductor equipment industry, through continuous competition and mergers since the 1980s, there are only 2 or 3 houses in each equipment category, but they are almost always the best. Such as the production of photolithography machine manufacturers ASML, Nikon, Canon; etching machine for Lam, Applied Materials, TEL; CVD equipment for Novellus, TEL and Applied Materials. These monopolies have been maintained for many years. The industry is fully aware of the need to further break the status quo and must adopt mergers and acquisitions. However, due to the fact that existing equipment manufacturers have certain characteristics and are survivors after fierce competition, the merger between the global semiconductor equipment industry did not take off until 2011.

In May 2011, Applied Materials acquired Varian, an ion implanter manufacturer, for $4.9 billion. In December, Lam Research merged with No. 7 Novellus for $3.3 billion. These two mergers and acquisitions will surely become a story in the semiconductor equipment industry.

Mergers and acquisitions required by each merger The acquisition of Lam Research, the acquisition of Novellus, and the merger of application materials and Varian are all promising in the industry, and their merger will produce a 1+1>2 effect.

Lam Research and Novellus

In fact, both Lam and Novellus are very good. From the perspective of operating products, Lam mainly uses etching and wafer cleaning equipment, while Novellus focuses on CVD and metallization process equipment. Lam decided to spend 3.3 billion US dollars this time, although the price is a bit high, but it is worth the money. And the industry expects that their merger will have a 1+1>2 effect.

Gartner's Freeman believes that the merger between the two major equipment manufacturers is likely to encounter some cultural challenges. Lam’s corporate culture of operational excellence may make Novellus’s old employees less comfortable. In addition, he is also curious whether the combination of the two will make Lam's strategy focus away from the original core competitiveness - etching technology. Freeman finally pointed out that the merger of Lam and Novellus may bring impact to other large semiconductor equipment manufacturers. For example, ASM International will be further isolated due to the merger case.

In addition, the merger of the two companies will provide a complete TSV process solution in addition to chemical mechanical polishing (CMP), customers can purchase CMP equipment from application materials or suppliers such as Ebara. Therefore, Future Applied Materials' TSV equipment may be affected.

Applied Materials and Varian Applied Materials have been ranked in the top 10 of the world's WFE predecessor equipment for eighteen consecutive years. Its concern is to try its best to maintain its position as the boss. For this reason, Applied Materials has made every effort to use mergers and acquisitions as one of the most important means. Applied Materials' product areas previously focused on Etch, CVD, and PVD. In the 90s of the last century, when the semiconductor equipment industry reached its peak, the company tried to expand its product range and became the “all-round super king” in the semiconductor equipment industry. Obviously, the application materials will start from relatively weak product categories, such as lithography machines, ion implanters, process inspections, wafer cutting, screen printing, glue development equipment and so on. Some of these products have been very successful, such as HCT's wafer cutting and Baccini's screen printing in Europe. Currently, the global market share is the first. The method used is to adopt a company that has already had strength, or to merge with several unknown Israeli small companies with technical potential, and sell them under the brand of applied materials.

However, with the merger method, even top companies such as application materials have failed. For example, it had annexed Etec to develop electron beam direct write devices. At the time, the path of choice for applied materials was advanced and impeccable. Because in the WFE equipment, lithography equipment accounted for 27% of the proportion of the highest output value in the WFE category. Applied materials lack the experience of lithography equipment and trying to start from scratch is not possible. They believe that the limits of optical lithography methods may soon come, and they must find new alternative methods, of which the electron beam direct writing technology has a promising future. Therefore, Applied Materials purchased Etec, the world's most advanced electronic beam writing company. However, due to continuous advances in optical lithography methods, from 248nm to 193nm, immersion technology and two-graphics exposure technology have been developed, making optical lithography technology has been extended to the present, 22/20nm has no suspense, the future 14nm also Very promising. However, due to the drag of computer technology, the electron beam direct write technology has only reached a maximum of 1 to 10 wafers per hour for shipments of silicon wafers, which could not meet the needs of semiconductor mass production. Until now, electron beam direct write technology is still under development.

This time, Applied Materials used a generous hand and spent $ 4.9 billion to buy the world's No. 1 Varian ion implanter. It may be the dream of Applied Materials' ion implanter. Most of the industry’s response is positive, but there are also a few who believe the price is too high. According to Applied Materials, the company claims that Varian can add $1.5 billion to the company each year.

As mentioned above, mergers and acquisitions are a means. Success or failure depends to a large extent on the direction of the company's actions and the execution of the company's leaders. After so many years of evolution of the semiconductor equipment industry, its inherent competitiveness has become very strong. It is believed that mergers and acquisitions will become the main driving force for the growth of the semiconductor equipment industry in the future, and the advent of the 450mm silicon era will also promote the merger of the semiconductor equipment industry.

Observing the equipment and advancing in popularity Zhang Dong's 450mm wafer transition has been debated in the industry. The three existing companies that have taken a positive attitude today are Intel, TSMC, and Samsung. The production of 18-inch wafers will be more efficient than the 12-inch plants in environmental protection and economics.

Market research firm Gartner pointed out that under the same process conditions, the operating cost of the 450mm production line is only increased by 30% compared with 300mm, but due to the 2.25 times increase in the area of ​​the silicon wafer, the manufacturing cost of the final chip is reduced, which will stimulate the production capacity. Expansion, as well as more plants to invest in 450mm wafers (estimated more than 10 worldwide). Such a process will lead to a market share of 450mm silicon wafers will be small to large, such as the current 300mm silicon wafers have accounted for more than 60% of total silicon shipments. Therefore, the key to the transition to 450mm wafers is the cost reduction, and it must also enable chip manufacturers and equipment manufacturers to achieve a win-win situation. In 2011, the global semiconductor equipment industry again set off a merger culmination. With Moore's Law gradually approaching the end of the term, overall semiconductor investment may slow down, and the number of orders for customers will decrease, all of which will bring new challenges to the equipment industry. With the arrival of the 450mm silicon era, it will also promote the merger of semiconductor equipment industry.

There are usually two ways that any company can grow from small to large, from weak to strong. One is to rely on its own brand accumulation and gradually grow. Another way is the current mainstream approach, which is the continuous expansion of companies through mergers and acquisitions. The more the industry is in decline, the more mergers and acquisitions between companies are aggravated. This is also the main incentive for the global semiconductor equipment industry to increase in 2011. Because the merger downturn in the industrial downturn is much lower. It is believed that the merger will become the main means for the growth of the semiconductor equipment industry in the future.

Expert opinion Intel Senior Vice President Bill Holt "Since the semiconductor industry began its discussion at the 130-nm node, the size reduction has reached its end. However, through constant innovation in materials, processes and design, the semiconductor industry has been able to continue to the present day. Much attention will be focused on the improvement of device functions and the satisfaction of application requirements."

Steve Newbury, Lam's deputy director-general, "Today, chip makers are more profitable than in 2007. However, profits are concentrated in the top five manufacturers. For example, among the global foundries, only TSMC is profitable. In the survival strategy, we can find that mature technology should be better than the most advanced process technology.The top four global NAND manufacturers can make profits, but DRAM is not so lucky, which may trigger another merger and reorganization. ”

IBM Vice President Bernie Melson "The semiconductor industry may have reached an end for 50 years. It is no longer effective to continue to shrink the size of the industry. Its advanced nature is no longer represented by manufacturing processes. Instead, it depends more on materials and foundations. Scientific innovation: IBM has recently successfully developed a graphene carbon nano-transistor CNTFET with an excellent performance parameter of less than 10 nm, and the RF FET has a cut-off frequency of up to 280 GHz on a 40 nm graphene epitaxial layer, and the magnetic memory has confirmed 12 The atom's memory cell works."

IC Insights Bill McLean "Global semiconductor industry in 2011 achieved an overall growth of 2%. If we do not include DRAM, the semiconductor industry will have 6% growth. In the future, semiconductor investment will account for 19% of semiconductor sales. Declined to 15%. The world's top 10 chip makers account for 84% of the world's 300mm capacity.”

The Aluminium - Copper Cable Terminal is suitable for transition joint of distribution device aluminium core cable and electric equipment.Aluminium Cable Lug is used for aluminium terminal linking of aluminium core cable and electric equipment.Copper Terminal is used for copper terminal linking of copper core cable and electric equipment.L2:aluminium material, T2:copper material.The products adopt friction welding workmanship,it has advantage of good electric conductance, electrochemlcal corrosion resistance, long service life.

Bolt Type Aluminum Alloy Cable Terminal

Cable Terminal

Cable Terminal,Cable Electrical Terminal,Terminal Cable Lug,Mechanical Aluminium Cable Lug,Bimetallic Aluminium Cable Lug,Insulated Cable Lug

Jiangsu Chuandu Electrical Technology Co.,Ltd. , http://www.cdepf.com